-- G. Kemnitz: Technische Informatik 
-- Band 2: Entwurf digitaler Schaltungen
-- Abschnitt 2.6.9 Zusammenfassung und Uebungsaufgaben
--
-- Aufgabe 2.20
-- Volladdierer mit zwei negativ bewerteten Eingängen
--------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;

entity VA2 is
 port(a, nb, nc: in std_logic;
      s, nco: out std_logic);
end entity;

architecture Verh of VA2 is
begin
 s   <= a xor nb xor nc;
 nco <= (not a and nb) or (not a and  nc) or (nb and nc);
end architecture;